What is a program module?

Program modules in 1C:Enterprise configuration are not independent programs in usual sense, since they are only a part of the entire configuration. A program module is a text in 1C:Enterprise script that contains procedure and function codes with the required algorithms that are called by the system when needed. Therefore, program modules have no formal borders of description like: Begin Module — End Module.

The Designer shows location of specific program modules in configuration points that require description of specific functioning algorithms. These algorithms should have the form of procedures or functions that will be called by the system in certain predefined situations (for example, when a user clicks a button in a dialog box).
Each individual program module is interpreted by the system as whole, therefore, all the procedures and functions of a program module shall be executed in a single context.

1C:Enterprise Developer's Community